博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
关于fpga优化的set input delay 和 set output delay
阅读量:4585 次
发布时间:2019-06-09

本文共 376 字,大约阅读时间需要 1 分钟。

set input delay 和set output delay 首先必须明确的是指的外部delay,而非input或output的内部delay,那么这外部delay包含什么呢?包含1,外部路径延时2,外部时钟与fpga输入时钟的clock skew ,包含外部器件的tco或 tsu,对于输入来说是指的tco,输出指的tsu。

公式

这个clock skew 是 外部时钟与 fpga 输入时钟的 skew,不是与fpga内部时钟的skew,quartus会根据时序约束,调整输入时钟的延时。而且会根据时序要求通过调整io模块的input delay或output delay 等 调整fpga的 tsu 和 tco 从而达到时序要求。

转载于:https://www.cnblogs.com/lianjiehere/p/4386971.html

你可能感兴趣的文章
Linux C 信号处理
查看>>
第三次作业
查看>>
tomcat
查看>>
MUI开发注意事项
查看>>
elasticsearch摸石头过河——常用数据类型(二)
查看>>
scrum立会报告+燃尽图(第三周第三次)
查看>>
[SQL] 获取 Microsoft SQL Server 2008 的数据表结构
查看>>
iOS进度指示器——NSProgress
查看>>
C语言strcat,ctrcpy函数原型和改进
查看>>
good bye 2015 B - New Year and Old Property
查看>>
(第4篇)hadoop之魂--mapreduce计算框架,让收集的数据产生价值
查看>>
万年历-农历-农历日期
查看>>
如何辞职
查看>>
SSO 单点登录总结(PHP)
查看>>
Ubuntu16.04下将hadoop2.7.3源代码导入到eclipse neon中
查看>>
朝令夕改的企业不值得留恋
查看>>
springboot踩坑出坑记
查看>>
ovs源码阅读--netlink使用
查看>>
php中引用&的真正理解-变量引用、函数引用、对象引用
查看>>
cmake编译安装mysql 5.6.12
查看>>